Арифметико-логические устройства

Содержание

Слайд 2

В современных ЭВМ арифметико-логическое устройство не является самостоятельным схемотехническим блоком. Оно

В современных ЭВМ арифметико-логическое устройство не является самостоятельным схемотехническим блоком. Оно

входит в состав микропроцессора, на котором строится компьютер.
Знание структуры и принципов работы АЛУ весьма важно для понимания работы компьютера в целом.
Слайд 3

Арифметико-логические устройства АЛУ (ALU, Arithmetic-Logic Unit) выполняют над словами ряд действий.

Арифметико-логические устройства АЛУ (ALU, Arithmetic-Logic Unit) выполняют над словами ряд действий.


Основой АЛУ служит сумматор, схема которого дополнена логикой, расширяющей функциональные возможности АЛУ и обеспечивающей его перестройку с одной операции на другую
Слайд 4

Арифметико-логические устройства Основными арифметическими операциями являются сложение и вычитание. Разработаны коды

Арифметико-логические устройства

Основными арифметическими операциями являются сложение и вычитание.
Разработаны коды дополнительный и

обратный, которые позволяют выполнять операцию вычитания методом суммирования.
Слайд 5

Методика построения одноразрядного арифметического устройства для выполнения операций суммирования и вычитания

Методика построения одноразрядного арифметического устройства для выполнения операций суммирования и вычитания

Слайд 6

Операция вычитания Для выполнения операции вычитания не требуется получение дополнительных сигналов,

Операция вычитания

Для выполнения операции вычитания не требуется получение дополнительных сигналов, поэтому

и не требуются дополнительные аппаратные затраты.
Необходимо лишь обеспечить коммутацию сигналов переноса и заёма в соответствии с кодом операции.
Слайд 7

Вычитание Вычитание числа В из А выполняется путем суммирования отрицательного числа

Вычитание

Вычитание числа В из А выполняется путем суммирования отрицательного числа В

в дополнительном коде с числом А. Представление отрицательного двоичного числа можно получить путем инвертирования всех битов числа и добавлением 1.
Прибавление этой единицы эффективно реализуется в полном сумматоре путем замены его первого каскада (полусумматора) на полный сумматор, вход переноса которого подключается к напряжению с уровнем логической 1.
Слайд 8

Многоразрядные АЛУ Многоразрядные АЛУ выпускаются в виде интегральных микросхем или входят

Многоразрядные АЛУ

Многоразрядные АЛУ выпускаются в виде интегральных микросхем или входят в состав

процессоров, являясь их основой.
Слайд 9

МС 564ИП3 — это 4-разрядное параллельное АЛУ, выполняющая 16 арифметических и 16 логических операций.

МС 564ИП3 — это 4-разрядное параллельное АЛУ, выполняющая 16 арифметических и

16 логических операций.
Слайд 10

A(а0–а3) — первый операнд, B(b0–b3) — второй операнд, S(s0–s3) — код

A(а0–а3) — первый операнд, 
B(b0–b3) — второй операнд,
S(s0–s3) — код операции — 4 разряда.
Если M=0, то выполняются

арифметические операции: 24=16, при M=1 выполняются логические операции: 24=16. Итого 16+16=32 операции.
F(f0–f3) — результат операции. На выходе A=B появляется «1», если при выполнении операции вычитания результат операции будет равен «0», то есть A=B. Поскольку АЛУ параллельного типа, то имеются выходы генерации G и распространения переноса H. Pn и Рn+4 — входной и выходной переносы.
Слайд 11

Обычно АЛУ четырехразрядны и для наращивания разрядности объединяются с формированием последовательных

Обычно АЛУ четырехразрядны и для наращивания разрядности объединяются с формированием последовательных

или параллельных переносов.
Логические возможности АЛУ разных технологий сходны. В силу самодвойственности выполняемых операций условное обозначение и таблица истинности АЛУ встречаются в двух вариантах, отличающихся взаимно инверсными значениями переменных
Слайд 12

АЛУ имеет входы операндов А и В, входы выбора операций S,

АЛУ имеет входы операндов А и В, входы выбора операций S,

вход переноса Ci и вход М (Моdе), сигнал которого задает тип выполняемых операций: логические (М = 1) или арифметико-логические (М = 0). Результат операции вырабатывается на выходах F, выходы G и Н дают функции генерации и прозрачности, используемые для организаций параллельных переносов при наращивании размерности АЛУ. Сигнал Со — выходной перенос, а выход А = В есть выход сравнения на равенство с открытым коллектором.
Слайд 13

Перечень выполняемых АЛУ операций дан в табл. Для краткости двоичные числа

Перечень выполняемых АЛУ операций дан в табл. Для краткости двоичные числа

s3s2s1s0 представлены их десятичными эквивалентами. Под утолщенными обозначениями 1 и 0 следует понимать наборы 1111 и 0000, входной перенос поступает в младший разряд слова, т. е. равен 000Сi. Логические операции поразрядные, т. е. операция над словами А * В означает, что а; * Ь; при отсутствии взаимовлияния разрядов.
При арифметических операциях учитываются межразрядные переносы.
Слайд 14

Слайд 15

Шестнадцать логических операций позволяют воспроизводить все функции двух переменных. В логико-арифметических

Шестнадцать логических операций позволяют воспроизводить все функции двух переменных. В логико-арифметических

операциях встречаются и логические и арифметические операции одновременно.
Запись типа А\/В + АВ следует понимать так: вначале поразрядно выполняются операции инвертирования (В), логического сложения (А\/В) и умножения (АВ), а затем полученные указанным образом два четырехразрядных числа складываются арифметически.
Слайд 16

При операциях над словами большой размерности АЛУ соединяются друг с другом

При операциях над словами большой размерности АЛУ соединяются друг с другом

с организацией последовательных или параллельных переносов.
В последнем случае совместно с АЛУ применяют микросхемы — блоки ускоренного переноса (СRU, Саrrу Unit), получающие от отдельных АЛУ функции генерации и прозрачности, а также входной перенос и вырабатывающие сигналы переноса
Слайд 17

Слайд 18

Классификация АЛУ По способу представления чисел различают АЛУ: - для чисел

Классификация АЛУ

По способу представления чисел различают АЛУ:
- для чисел с фиксированной

запятой;
- для чисел с плавающей запятой;
- для десятичных чисел.
Слайд 19

Классификация АЛУ По способу действия над операндами АЛУ делятся на последовательные и параллельные.

Классификация АЛУ

По способу действия над операндами АЛУ делятся на последовательные и

параллельные.
Слайд 20

Классификация АЛУ В параллельных АЛУ операнды представляются параллель­ным кодом и операции

Классификация АЛУ

В параллельных АЛУ операнды представляются параллель­ным кодом и операции совершаются

параллельно во времени над всеми раз­рядами операндов.
Слайд 21

Классификация АЛУ В последовательных АЛУ операнды представляются в по­следовательном коде, а

Классификация АЛУ

В последовательных АЛУ операнды представляются в по­следовательном коде, а операции

производятся последовательно во времени над их отдельными разрядами. Такие АЛУ, как правило, используют конвейерный метод обработки, при котором совмещаются во времени фазы выпол­нения операции для различных разрядов операндов.
Слайд 22

Классификация АЛУ По выполняемым функциям АЛУ делятся на многофункциональные и функциональные (блочные).

Классификация АЛУ

По выполняемым функциям АЛУ делятся на многофункциональные и функциональные (блочные).


Слайд 23

Классификация АЛУ В блочном АЛУ операции над числами с фикси­рованной и

Классификация АЛУ

В блочном АЛУ операции над числами с фикси­рованной и плавающей

запятой, десятичными и алфавитно-цифровыми по­лями, операции типа "умножение" выполняются в отдельных блоках.
Такой подход позволяет увеличить скорость работы АЛУ за счет использования быстродействующих блоков, а также за счет организации параллельной рабо­ты этих блоков.
Однако в этом случае значительно возрастают затраты обо­рудования.
Слайд 24

Классификация АЛУ В многофункциональных АЛУ всевозможные операции для всех форм представления

Классификация АЛУ

В многофункциональных АЛУ всевозможные операции для всех форм представления чисел

выполняются одними и теми же схемами, которые ком­мутируются нужным образом в зависимости от требуемого режима работы.
Слайд 25

Классификация АЛУ По структурной организации АЛУ можно разделить на устройства, имеющие:

Классификация АЛУ

По структурной организации АЛУ можно разделить на устройства, имеющие:
регистровую структуру

с непосредственными связями и закрепленной логикой;
Арифметико-логические устройства этого типа базируются на прин­ципе закрепления логических схем, используемых для выполнения микро­операций, за каждым из регистров
Слайд 26

Классификация АЛУ магистральную структуру с сосредоточенной памятью и логикой. Магистральная структура

Классификация АЛУ

магистральную структуру с сосредоточенной памятью и логикой.
Магистральная структура АЛУ

отличается тем, что в ней регистры и схемы для преобразования информации выделены в отдельные блоки, свя­занные между собой по входам и выходам. В этом случае блок регистров (БР) выполняет функции приема, хранения, выдачи операндов и результатов, а операционный блок (ОБ) выполняет весь необходимый набор микроопераций над словами, хранимыми в БР. В данной структуре блок регистров может быть реализован двумя способами: либо как совокупность отдельных регист­ров с индивидуальными схемами управления, либо как сверхоперативное ад­ресное запоминающее устройство.
Слайд 27

Для лучшего понимания этих вопросов проведем синтез арифметического устройства, предназначенного для

Для лучшего понимания этих вопросов проведем синтез арифметического устройства, предназначенного для

выполнения только одной операции – умножения чисел с фиксированной запятой, заданных в прямом коде, со старших разрядов множителя [13] . В ходе этого процесса также обратим внимание на особенности использования рассмотренных выше основных схемотехнических элементов ЭВМ.
Слайд 28

Синтез АЛУ проходит в несколько этапов. Сначала необходимо выбрать метод, по

Синтез АЛУ проходит в несколько этапов. Сначала необходимо выбрать метод, по

которому предполагается выполнение операции, и составить алгоритм соответствующих действий. Исходя из алгоритма и формата исходных данных, следует определить набор составляющих АЛУ элементов. Затем требуется определить связи между элементами, установить порядок функционирования устройства и временную диаграмму управляющих сигналов, которые должны быть поданы на АЛУ от устройства управления.
Слайд 29

Пусть операнды имеют вид: [X]пк = x0x1x2…xn [Y]пк = y0y1y2…yn где x0, y0 – знаковые разряды.

Пусть операнды имеют вид:
[X]пк = x0x1x2…xn
[Y]пк = y0y1y2…yn
где x0, y0 –

знаковые разряды.
Слайд 30

Операция умножения чисел с фиксированной запятой, заданных в прямом коде, со

Операция умножения чисел с фиксированной запятой, заданных в прямом коде, со

старших разрядов множителя выполняется по следующей формуле:
Слайд 31

Слайд 32

Каждой переменной, представленной в алгоритме, в схеме должен соответствовать элемент хранения.

Каждой переменной, представленной в алгоритме, в схеме должен соответствовать элемент хранения.

Разрядность модуля произведения равна сумме разрядностей сомножителей. Умножение двоичного числа на 2-i обеспечивается сдвигом этого числа вправо на соответствующее количество разрядов. Переход к анализу очередного разряда множителя ( i = i + 1 ) может быть обеспечен сдвигом регистра множителя на один разряд в сторону старших разрядов.
Слайд 33

Слайд 34

Структурная схема устройства представлена на рис.

Структурная схема устройства представлена на рис.

Слайд 35

Временная диаграмма управляющих сигналов

Временная диаграмма управляющих сигналов